We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 2feaa42 commit 3589463Copy full SHA for 3589463
vtr_flow/sdc/samples/easy_pass_timing.sdc
@@ -1,12 +1,4 @@
1
-create_clock -period 10000 -name easy
+create_clock -period 10000 *
2
3
-set_input_delay -clock easy 0 [get_ports{*}]
4
-
5
-set_output_delay -clock easy 0 [get_ports{*}]
6
7
-set_min_delay 0 -from [get_clocks{*}] -to [get_clocks{*}]
8
9
-set_max_delay 0 -from [get_clocks{*}] -to [get_clocks{*}]
10
11
-set_false_path -from[get_clocks{*}] -to [get_clocks{*}]
+##set_false_path -from[get_clocks{*}] -to [get_clocks{*}]
12
0 commit comments