Skip to content

Commit 3589463

Browse files
committed
easy timint pass sdc file fixed
1 parent 2feaa42 commit 3589463

File tree

1 file changed

+2
-10
lines changed

1 file changed

+2
-10
lines changed
Lines changed: 2 additions & 10 deletions
Original file line numberDiff line numberDiff line change
@@ -1,12 +1,4 @@
1-
create_clock -period 10000 -name easy
1+
create_clock -period 10000 *
22

3-
set_input_delay -clock easy 0 [get_ports{*}]
4-
5-
set_output_delay -clock easy 0 [get_ports{*}]
6-
7-
set_min_delay 0 -from [get_clocks{*}] -to [get_clocks{*}]
8-
9-
set_max_delay 0 -from [get_clocks{*}] -to [get_clocks{*}]
10-
11-
set_false_path -from[get_clocks{*}] -to [get_clocks{*}]
3+
##set_false_path -from[get_clocks{*}] -to [get_clocks{*}]
124

0 commit comments

Comments
 (0)